李文明-中国科学院大学-UCAS


本站和网页 http://people.ucas.ac.cn/~0024137 的作者无关,不对其内容负责。快照谨为网络故障时之索引,不代表被搜索网站的即时页面。

李文明-中国科学院大学-UCAS
[中文]
[English]
招生信息
教育背景
工作经历
教授课程
专利与奖励
出版信息
科研活动
指导学生
基本信息
李文明 男 硕导 中国科学院计算技术研究所电子邮件: liwenming@ict.ac.cn通信地址: 北京市海淀区中关村科学院南路6号邮政编码: 100190
研究领域
高通量众核体系结构;智能芯片体系结构;大规模并行模拟平台
招生信息
招生专业
081201-计算机系统结构
招生方向
高通量众核体系结构,智能芯片体系结构,大规模并行模拟平台
教育背景
2010-09--2016-06 中国科学院计算技术研究所 博士学位
学历
研究生
学位
工学博士
工作经历
工作简历
2018-10~现在, 中国科学院计算技术研究所, 副研究员2016-07~2018-09,中国科学院计算技术研究所, 助理研究员
教授课程
数字电路
专利与奖励
奖励信息
(1)&nbsp计算所优秀科研人员,&nbsp,&nbsp研究所(学校),&nbsp2017(2)&nbsp计算所优秀共产党员,&nbsp研究所(学校),&nbsp2016(3)&nbsp国家奖学金博士奖,&nbsp国家级,&nbsp2015(4)&nbsp曙光博士奖,&nbsp研究所(学校),&nbsp2014(5)&nbsp国科大三好学生,&nbsp研究所(学校),&nbsp2012(6)&nbsp国科大优秀学生干部,&nbsp研究所(学校),&nbsp2012
专利成果
( 1 )&nbsp面向通用字符串处理的硬件加速结构装置及控制方法,&nbsp发明,&nbsp2018,&nbsp第 1 作者,&nbsp专利号: 201810490966.6( 2 )&nbsp一种面向众核处理器的全局感知数据主动预取方法及系统,&nbsp发明,&nbsp2017,&nbsp第 1 作者,&nbsp专利号: 201710433007.6( 3 )&nbsp一种众核片上网络失败任务甄别及资源重分配方法和系统,&nbsp发明,&nbsp2017,&nbsp第 1 作者,&nbsp专利号: 201710437407.4( 4 )&nbsp中央处理器的缓存区的缓存行的替换方法及其装置,&nbsp发明,&nbsp2016,&nbsp第 1 作者,&nbsp专利号: 201610460009.X( 5 )&nbsp片上网络路由器通道资源的贪婪分配方法、装置及路由器,&nbsp发明,&nbsp2016,&nbsp第 1 作者,&nbsp专利号: 201610460938.0( 6 )&nbsp一种异常事件自动推送及基于历史操作的监控方法及系统,&nbsp发明,&nbsp2016,&nbsp第 1 作者,&nbsp专利号: 201611148500.5( 7 )&nbsp基于检测帧的视频监控系统故障识别、定位及告警系统及其方法,&nbsp发明,&nbsp2017,&nbsp第 1 作者,&nbsp专利号: 201710047382.7( 8 )&nbsp一种应用复合指令加快数据流结构中指令执行速度的方法,&nbsp发明,&nbsp2017,&nbsp第 3 作者,&nbsp专利号: 201710356099.2( 9 )&nbsp一种面向多地址共享数据路由包的路由器、路由方法及其芯片,&nbsp发明,&nbsp2017,&nbsp第 4 作者,&nbsp专利号: 201710029035.1( 10 )&nbsp一种面向图计算应用的CAM结构存储系统,&nbsp发明,&nbsp2018,&nbsp第 3 作者,&nbsp专利号: 2018106819185( 11 )&nbsp一种面向多上下文粗粒度数据流结构的指令发射控制方法,&nbsp发明,&nbsp2018,&nbsp第 3 作者,&nbsp专利号: 20180682382.9( 12 )&nbsp一种基于数据流的指令调度方法及装置,&nbsp发明,&nbsp2017,&nbsp第 3 作者,&nbsp专利号: 201710099467.X
出版信息
发表论文
[1] Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Fan, Dongrui, Sun, Ninghui. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS[J]. 2019, 21: 129-142, http://dx.doi.org/10.1016/j.suscom.2019.01.006.[2] Xu Tan, Xiao-Chun Ye, Xiao-Wei Shen, Yuan-Chao Xu, Da Wang, Lunkai Zhang, Wen-Ming Li, Dong-Rui Fan, Zhi-Min Tang. A Pipelining Loop Optimization Method for Dataflow Architecture. 计算机科学技术学报:英文版. 2018, 33(1):&nbsp116-130, http://lib.cqvip.com/Qikan/Article/Detail?id=674567291.[3] Tan, Xu, Shen, XiaoWei, Ye, XiaoChun, Wang, Da, Fan, DongRui, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Tang, ZhiMin. A Non-Stop Double Buffering Mechanism for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2018, 33(1):&nbsp145-157, http://lib.cqvip.com/Qikan/Article/Detail?id=674567293.[4] Li Wenming, Ye Xiaochun, Wang Da, Zhang Hao, Wu Dongdong, Zhang Zhimin, Fan Dongrui, Chen JJ, Yang LT. WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications. 2018 IEEE INT CONF ON PARALLEL & DISTRIBUTED PROCESSING WITH APPLICATIONS, UBIQUITOUS COMPUTING & COMMUNICATIONS, BIG DATA & CLOUD COMPUTING, SOCIAL COMPUTING & NETWORKING, SUSTAINABLE COMPUTING & COMMUNICATIONSnull. 2018, 47-54, [5] Fan, Dongrui, Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Sun, Ninghui, IEEE. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. 2018 24TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA)null. 2018, 596-607, [6] Shen, XiaoWei, Ye, XiaoChun, Tan, Xu, Wang, Da, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Fan, DongRui, Sun, NingHui. An Efficient Network-on-Chip Router for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2017, 32(1):&nbsp11-25, [7] 张洋, 李文明, 叶笑春, 王达, 范东睿, 李宏亮, 唐志敏, 孙凝晖. LFF:一种面向大数据应用的众核处理器访存公平性调度机制. 高技术通讯. 2017, 27(2):&nbsp103-111, http://lib.cqvip.com/Qikan/Article/Detail?id=672300314.[8] Qi Yuqiong, Ma Lina, Li Wenming, Ye Xiaochun, Wang Da, Fan Dongrui, Sun Ninghui, Chen J, Yang LT. ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications. PROCEEDINGS OF 2016 IEEE 18TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS; IEEE 14TH INTERNATIONAL CONFERENCE ON SMART CITY; IEEE 2ND INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2016, 608-615, http://dx.doi.org/10.1109/HPCC-SmartCity-DSS.2016.56.[9] Zhu Yatao, Ye Xiaochun, Wang Da, Li Wenming, Zhang Yang, Fan Dongrui, Zhang Zhimin, Tang Zhimin, IEEE. A Framework for Energy-efficient Optimization on Multi-Cores. 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2016, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000402169700032.[10] 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. BDSim:面向大数据应用的组件化高可配并行模拟框架. 计算机学报[J]. 2015, 38(10):&nbsp1959-1975, http://lib.cqvip.com/Qikan/Article/Detail?id=666506311.[11] Li Wenming, Fan Lingjun, Wang Zihou, Ye Xiaochun, Wang Da, Zhang Hao, Zhang Liang, Fan Dongrui, Xie Xianghui, IEEE. Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches. 2015 SIXTH INTERNATIONAL GREEN COMPUTING CONFERENCE AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2015, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000380428700018.[12] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6):&nbsp1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.[13] Li Wenming, Zhang Liang, Ye Xiaochun, Wang Da, Zhang Hao, Wang Zihou, Fan Dongrui, IEEE. A High-Density Data Path Implementation fitting for HTC Applications. 2015 SIXTH INTERNATIONAL GREEN COMPUTING CONFERENCE AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2015, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000380428700059.[14] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6):&nbsp1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.[15] Song, Fenglong, Tang, Shibin, Li, Wenming, Miao, Futao, Zhang, Hao, Fan, Dongrui, Liu, Zhiyong. CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network. MICROPROCESSORS AND MICROSYSTEMS[J]. 2014, 38(8):&nbsp1025-1036, http://dx.doi.org/10.1016/j.micpro.2014.08.003.
科研活动
科研项目
( 1 )&nbsp高通量众核处理器访存数据通路优化研究, 主持, 国家级, 2019-01--2021-12( 2 )&nbsp面向E级原型系统的高能效众核处理器研究, 主持, 国家级, 2016-07--2018-06( 3 )&nbsp数据中心高通量处理器访存通路结构研究, 主持, 市地级, 2017-01--2018-12( 4 )&nbsp千线程并行的众核CPU 体系结构和支撑技术研究, 参与, 国家级, 2013-01--2016-12( 5 )&nbsp处理器性能评估模型技术合作项目, 主持, 院级, 2015-03--2016-09
参与会议
(1)WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications 2018-12-13(2)SmarCo An Efficient Many-Core Processor for High-Throughput Applications in Datacenters 2018-02-28(3)Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches 2015-12-16
指导学生
已指导学生李丹峰 硕士研究生 085208-电子与通信工程
2013 中国科学院大学,网络信息中心.